The Global Extreme Ultraviolet Lithography (EUL) Market was valued at USD 4,862.40 Million in 2023 and is projected to reach USD 29,113.15 Million by 2032, growing at a Compound Annual Growth Rate (CAGR) of 22.00% during the forecast period (2023–2032). This growth is being driven by the surging demand for advanced semiconductors in artificial intelligence, 5G infrastructure, and high-performance computing, alongside the relentless push toward smaller process nodes below 5nm that require unprecedented precision in chip patterning.
As the semiconductor industry races toward ever-smaller transistors and more complex integrated circuits, the focus sharpens on the innovators in extreme ultraviolet lithography who enable this miniaturization. Extreme ultraviolet lithography, operating at a wavelength of 13.5 nm, is pivotal for fabricating cutting-edge chips. In this blog, we profile the Top 10 Companies in the Extreme Ultraviolet Lithography (EUL) Industry—a blend of equipment giants, optics experts, and chipmakers driving breakthroughs in light sources, masks, and mirrors to fuel the global electronics revolution.
🔟 1. ASML Holding N.V.
Headquarters: Veldhoven, Netherlands
Key Offering: EUV Scanners, Light Sources, Optics Systems
ASML stands as the undisputed leader in lithography equipment, dominating the EUV market with its advanced Twinscan NXE systems that power the production of sub-7nm chips for major foundries. The company’s EUV technology has revolutionized semiconductor manufacturing by enabling resolutions that were once thought impossible, serving clients like TSMC and Intel with high-throughput scanners essential for logic and memory devices.
Furthermore, ASML’s innovations extend beyond hardware; their systems integrate computational lithography software to optimize pattern fidelity. Because of these advancements, ASML’s EUV tools are critical for the 3nm and below nodes, supporting the exponential growth in data centers and mobile devices. However, the complexity of EUV requires ongoing R&D to address challenges like power efficiency and defect reduction.
Technological Advancements:
-
Development of high-NA EUV systems for 2nm nodes
-
Collaborations with imec for next-gen pellicle membranes
-
Investment in AI-driven metrology for yield improvement
Download FREE Sample Report: Extreme Ultraviolet Lithography (EUL) Market – View in Detailed Research Report
9️⃣ 2. Nikon Corporation
Headquarters: Tokyo, Japan
Key Offering: EUV Optics, Immersion Lithography Systems, Masks
Nikon has long been a powerhouse in precision optics, contributing to EUV through its high-quality lenses and alignment systems that enhance image quality in lithography tools. While ASML leads in full EUV scanners, Nikon’s expertise in mirror coatings and projection optics complements the ecosystem, supporting applications in advanced packaging and display manufacturing.
The company’s transition from DUV to EUV involvement underscores its adaptability; Nikon invests in R&D to improve light collection efficiency, which is vital given EUV’s low photon yield. This positions Nikon to capture growth in the Asia-Pacific region, where semiconductor fabs are expanding rapidly. Moreover, Nikon’s partnerships with mask suppliers help streamline the supply chain for defect-free photomasks.
Technological Advancements:
-
Advanced aberration correction in EUV mirrors
-
Integration of computational imaging techniques
-
Focus on cost-effective EUV for memory production
8️⃣ 3. Canon Inc.
Headquarters: Tokyo, Japan
Key Offering: Nanoimprint Lithography (NIL), EUV-Compatible Optics, Scanners
Canon brings a unique angle to the EUV landscape with its nanoimprint technology as a potential complement to traditional lithography, but it also develops EUV components like light sources and reticles. Canon’s systems are noted for their lower energy consumption compared to pure EUV, making them attractive for high-volume manufacturing of NAND flash and sensors.
Despite the dominance of EUV for leading-edge logic, Canon’s innovations in hybrid approaches bridge the gap for mid-range nodes. The company leverages its imaging expertise to improve overlay accuracy, which is crucial for multi-patterning in EUV flows. As demand for diverse lithography solutions grows, Canon’s diversified portfolio ensures resilience in a competitive market.
Technological Advancements:
-
High-resolution NIL for sub-10nm features
-
EUV source stabilization research
-
Collaborations for sustainable lithography processes
7️⃣ 4. Carl Zeiss SMT GmbH
Headquarters: Oberkochen, Germany
Key Offering: EUV Mirrors, Lenses, Projection Systems
Carl Zeiss is the optical backbone of EUV lithography, supplying multilayer mirrors that reflect 13.5 nm light with over 70% efficiency—a feat requiring atomic-level precision. Their optics are integral to ASML’s scanners, enabling the sharp focus needed for intricate circuit patterns.
Zeiss’s relentless pursuit of surface flatness, down to picometer scales, addresses EUV’s inherent challenges like thermal distortion. This expertise not only boosts throughput but also reduces waste in fab operations. Furthermore, Zeiss is exploring high-numerical-aperture (NA) optics to extend EUV’s viability to 1nm nodes, solidifying its role in the industry’s long-term roadmap.
Technological Advancements:
-
Next-gen Mo/Si multilayer coatings for higher reflectivity
-
Adaptive optics for real-time aberration control
-
Partnerships in EUV metrology tools
Download FREE Sample Report: Extreme Ultraviolet Lithography (EUL) Market – View in Detailed Research Report
6️⃣ 5. Toppan Photomasks, Inc.
Headquarters: Tokyo, Japan
Key Offering: EUV Photomasks, Reticles, Blank Materials
Toppan excels in photomask production, crafting EUV masks with nanoscale absorbers that withstand the intense plasma-generated light. These masks are essential for transferring patterns onto wafers, and Toppan’s defect inspection capabilities ensure high yields in production.
As EUV adoption scales, Toppan’s investments in mask repair and cleaning technologies mitigate contamination risks, a major hurdle in EUV fabs. The company’s global facilities support the supply chain for leading foundries, and its R&D into phase-shift masks enhances contrast for finer features. However, rising mask costs pose challenges, prompting Toppan to innovate cost-reduction strategies.
Technological Advancements:
-
Advanced EUV mask blanks with low defectivity
-
Actinic inspection for mask qualification
-
Expansion into computational mask design
5️⃣ 6. NTT Advanced Technology Corporation
Headquarters: Tokyo, Japan
Key Offering: EUV Components, Simulation Software, Light Source Tech
NTT Advanced Technology contributes specialized EUV elements, including simulation tools that predict pattern distortions and optimize exposure strategies. Their work on plasma sources and diagnostics supports more stable EUV generation, addressing power scaling issues.
In a field dominated by hardware, NTT’s software-driven approaches enable virtual prototyping, reducing physical trial-and-error in fabs. This is particularly valuable for customizing EUV processes to specific chip designs. As 5G and AI drive complexity, NTT’s innovations help manage the computational overhead of EUV lithography.
Technological Advancements:
-
AI-enhanced OPC (Optical Proximity Correction) models
-
Compact EUV source prototypes
-
Integration with fab automation systems
4️⃣ 7. Intel Corporation
Headquarters: Santa Clara, California, USA
Key Offering: EUV Process Integration, IDM Lithography Solutions
Intel, as an integrated device manufacturer, pioneers EUV implementation in its own fabs, achieving high-volume production at 7nm and planning for Intel 18A (1.8nm). Their in-house lithography expertise drives tool enhancements through close collaboration with ASML and Zeiss.
Intel’s push for EUV has accelerated industry timelines, with innovations in resist materials and underlayers improving sensitivity. This vertical integration allows faster iteration, benefiting from economies of scale. However, Intel faces competition from pure-play foundries, compelling continuous investment in EUV efficiency.
Technological Advancements:
-
High-NA EUV adoption roadmap
-
Resist stacking for better LER (Line Edge Roughness)
-
Foundry services expanding EUV capabilities
3️⃣ 8. Samsung Electronics Co., Ltd.
Headquarters: Suwon, South Korea
Key Offering: Foundry Services, EUV for Memory and Logic, Masks
Samsung leverages EUV extensively in its foundry and memory divisions, producing 5nm chips for clients like Qualcomm and its own Exynos processors. Their EUV multi-patterning techniques enable complex DRAM and NAND architectures.
The company’s aggressive scaling to 3nm GAA (Gate-All-Around) transistors relies on refined EUV processes, including stochastic defect mitigation. Samsung’s dual role as supplier and user fosters holistic improvements, from source power to wafer inspection. As Asia-Pacific demand surges, Samsung’s capacity expansions position it as a key growth driver.
Technological Advancements:
-
EUV for 3D NAND stacking
-
Advanced etching compatible with EUV patterns
-
Global fab network optimization
Download FREE Sample Report: Extreme Ultraviolet Lithography (EUL) Market – View in Detailed Research Report
2️⃣ 9. SK Hynix Inc.
Headquarters: Icheon, South Korea
Key Offering: EUV for Memory Chips, HBM Integration
SK Hynix employs EUV to fabricate high-bandwidth memory (HBM) for AI accelerators, achieving denser interconnects essential for GPUs. Their EUV adoption in DRAM production enhances bit density without proportional cost increases.
By focusing on memory-specific EUV tweaks, like improved CD (Critical Dimension) control, SK Hynix supports the data explosion in cloud computing. Challenges like throughput persist, but partnerships with toolmakers are yielding progress. This specialization cements SK Hynix’s role in the memory segment of the EUL market.
Technological Advancements:
-
EUV single patterning for HBM3
-
Defect reduction in high-volume memory lines
-
R&D in EUV-compatible materials
1️⃣ 10. TSMC (Taiwan Semiconductor Manufacturing Company)
Headquarters: Hsinchu, Taiwan
Key Offering: Advanced Foundry Services, EUV Process Nodes
TSMC, the world’s largest pure-play foundry, is at the forefront of EUV deployment, with its N3 (3nm) and upcoming A16 (1.6nm) nodes relying on high-NA EUV for Apple’s and NVIDIA’s chips. TSMC’s volume production has validated EUV’s economic viability at scale.
The foundry’s engineering prowess in EUV optimization, including dose control and overlay metrology, minimizes variability across massive wafer starts. This drives the market’s growth, as TSMC’s ecosystem influences global standards. However, geopolitical tensions highlight supply chain vulnerabilities, prompting diversification efforts.
Technological Advancements:
-
Implementation of GAAFET with EUV
-
PowerRoadmap for backside power delivery using EUV
-
Collaborative EUV ecosystem development
Read Full Report: Extreme Ultraviolet Lithography (EUL) Market – View in Detailed Research Report
🌍 Outlook: The Future of Extreme Ultraviolet Lithography Is More Precise and Efficient
The extreme ultraviolet lithography market is evolving rapidly, with traditional challenges like low light efficiency giving way to innovations in power sources and materials. Investments exceeding billions are pouring into high-NA systems and AI-assisted design, promising resolutions down to angstrom scales.
Because EUV enables the transistor density needed for Moore’s Law continuation, its adoption will accelerate in logic, memory, and analog ICs. However, cost barriers and technical hurdles, such as stochastic noise, require collaborative solutions across the value chain. Furthermore, regional expansions in fabs will boost demand for EUV infrastructure.
📈 Key Trends Shaping the Market:
-
Transition to high-NA EUV for sub-2nm nodes
-
Regulatory emphasis on energy-efficient semiconductor manufacturing
-
Digital twins and simulation for EUV process optimization
-
Foundry-IDM partnerships for shared EUV R&D
Market Trends Shaping the Extreme Ultraviolet Lithography Industry
-
Advancements in Light Source Power — Laser-produced plasma (LPP) sources are scaling to over 500W, enabling higher throughput and reducing exposure times. Companies like Cymer (ASML subsidiary) are leading this, with implications for fab economics as EUV becomes mainstream for 5nm and below.
-
High-NA Optics Adoption — Moving from 0.33 NA to 0.55 NA will double resolution, but requires redesigned scanners. ASML’s EXE:5000 platform exemplifies this shift, targeting 2nm production by 2025 and reshaping tool investments worldwide.
-
AI and Machine Learning Integration — Computational lithography using ML models corrects for EUV-specific aberrations like photon shot noise. Tools from Synopsys and Mentor Graphics are incorporating these, aiding multi-patterning complexity in advanced nodes.
-
Supply Chain Resilience — Geopolitical risks are prompting diversification of photomask and optics suppliers. Efforts to localize production in the US and Europe aim to mitigate delays, as seen in CHIPS Act initiatives boosting domestic EUV capacity.
-
Sustainable EUV Processes — Focus on low-energy resists and recycling of rare gases like xenon. Innovations in metal oxide resists reduce environmental impact, aligning with global sustainability goals while maintaining performance.
Read Full Report: Extreme Ultraviolet Lithography (EUL) Market – View in Detailed Research Report
The companies listed above are not only patterning the future of semiconductors—they’re pioneering the precision revolution in electronics.